From efe4b13a4e41f96a8577ac48575b1adbf92873df Mon Sep 17 00:00:00 2001 From: Virgil Dupras Date: Sat, 24 Oct 2020 16:50:22 -0400 Subject: [PATCH] Move /emul to /emul/z80 I'm planning on adding other subfolders. 8086 for example... --- emul/{ => z80}/.gitignore | 0 emul/{ => z80}/Makefile | 2 +- emul/{ => z80}/README.md | 0 emul/{ => z80}/emul.c | 0 emul/{ => z80}/emul.h | 0 emul/{ => z80}/forth.c | 0 emul/{ => z80}/opcodes_decl.h | 0 emul/{ => z80}/opcodes_impl.c | 0 emul/{ => z80}/opcodes_table.h | 0 emul/{ => z80}/rc2014/.gitignore | 0 emul/{ => z80}/rc2014/Makefile | 0 emul/{ => z80}/rc2014/README.md | 0 emul/{ => z80}/rc2014/acia.c | 0 emul/{ => z80}/rc2014/acia.h | 0 emul/{ => z80}/rc2014/classic.c | 0 emul/{ => z80}/rc2014/sdc.c | 0 emul/{ => z80}/rc2014/sdc.h | 0 emul/{ => z80}/rc2014/sio.c | 0 emul/{ => z80}/rc2014/sio.h | 0 emul/{ => z80}/sms/.gitignore | 0 emul/{ => z80}/sms/Makefile | 0 emul/{ => z80}/sms/README.md | 0 emul/{ => z80}/sms/kbd.c | 0 emul/{ => z80}/sms/kbd.h | 0 emul/{ => z80}/sms/pad.c | 0 emul/{ => z80}/sms/pad.h | 0 emul/{ => z80}/sms/port.c | 0 emul/{ => z80}/sms/port.h | 0 emul/{ => z80}/sms/sms.c | 0 emul/{ => z80}/sms/vdp.c | 0 emul/{ => z80}/sms/vdp.h | 0 emul/{ => z80}/ti/.gitignore | 0 emul/{ => z80}/ti/Makefile | 0 emul/{ => z80}/ti/README.md | 0 emul/{ => z80}/ti/kbd.c | 0 emul/{ => z80}/ti/kbd.h | 0 emul/{ => z80}/ti/t6a04.c | 0 emul/{ => z80}/ti/t6a04.h | 0 emul/{ => z80}/ti/ti84.c | 0 emul/{ => z80}/xcomp.fs | 0 emul/{ => z80}/z80.c | 0 emul/{ => z80}/z80.h | 0 recipes/rc2014/Makefile | 2 +- recipes/sms/Makefile | 2 +- recipes/ti84/Makefile | 2 +- tests/Makefile | 2 +- tests/all/runtests.sh | 2 +- tests/z80/runtests.sh | 2 +- 48 files changed, 7 insertions(+), 7 deletions(-) rename emul/{ => z80}/.gitignore (100%) rename emul/{ => z80}/Makefile (96%) rename emul/{ => z80}/README.md (100%) rename emul/{ => z80}/emul.c (100%) rename emul/{ => z80}/emul.h (100%) rename emul/{ => z80}/forth.c (100%) rename emul/{ => z80}/opcodes_decl.h (100%) rename emul/{ => z80}/opcodes_impl.c (100%) rename emul/{ => z80}/opcodes_table.h (100%) rename emul/{ => z80}/rc2014/.gitignore (100%) rename emul/{ => z80}/rc2014/Makefile (100%) rename emul/{ => z80}/rc2014/README.md (100%) rename emul/{ => z80}/rc2014/acia.c (100%) rename emul/{ => z80}/rc2014/acia.h (100%) rename emul/{ => z80}/rc2014/classic.c (100%) rename emul/{ => z80}/rc2014/sdc.c (100%) rename emul/{ => z80}/rc2014/sdc.h (100%) rename emul/{ => z80}/rc2014/sio.c (100%) rename emul/{ => z80}/rc2014/sio.h (100%) rename emul/{ => z80}/sms/.gitignore (100%) rename emul/{ => z80}/sms/Makefile (100%) rename emul/{ => z80}/sms/README.md (100%) rename emul/{ => z80}/sms/kbd.c (100%) rename emul/{ => z80}/sms/kbd.h (100%) rename emul/{ => z80}/sms/pad.c (100%) rename emul/{ => z80}/sms/pad.h (100%) rename emul/{ => z80}/sms/port.c (100%) rename emul/{ => z80}/sms/port.h (100%) rename emul/{ => z80}/sms/sms.c (100%) rename emul/{ => z80}/sms/vdp.c (100%) rename emul/{ => z80}/sms/vdp.h (100%) rename emul/{ => z80}/ti/.gitignore (100%) rename emul/{ => z80}/ti/Makefile (100%) rename emul/{ => z80}/ti/README.md (100%) rename emul/{ => z80}/ti/kbd.c (100%) rename emul/{ => z80}/ti/kbd.h (100%) rename emul/{ => z80}/ti/t6a04.c (100%) rename emul/{ => z80}/ti/t6a04.h (100%) rename emul/{ => z80}/ti/ti84.c (100%) rename emul/{ => z80}/xcomp.fs (100%) rename emul/{ => z80}/z80.c (100%) rename emul/{ => z80}/z80.h (100%) diff --git a/emul/.gitignore b/emul/z80/.gitignore similarity index 100% rename from emul/.gitignore rename to emul/z80/.gitignore diff --git a/emul/Makefile b/emul/z80/Makefile similarity index 96% rename from emul/Makefile rename to emul/z80/Makefile index b2875ac..2b71e62 100644 --- a/emul/Makefile +++ b/emul/z80/Makefile @@ -1,6 +1,6 @@ TARGETS = forth OBJS = emul.o z80.o -CDIR = ../cvm +CDIR = ../../cvm STAGE = $(CDIR)/stage BLKFS = $(CDIR)/blkfs diff --git a/emul/README.md b/emul/z80/README.md similarity index 100% rename from emul/README.md rename to emul/z80/README.md diff --git a/emul/emul.c b/emul/z80/emul.c similarity index 100% rename from emul/emul.c rename to emul/z80/emul.c diff --git a/emul/emul.h b/emul/z80/emul.h similarity index 100% rename from emul/emul.h rename to emul/z80/emul.h diff --git a/emul/forth.c b/emul/z80/forth.c similarity index 100% rename from emul/forth.c rename to emul/z80/forth.c diff --git a/emul/opcodes_decl.h b/emul/z80/opcodes_decl.h similarity index 100% rename from emul/opcodes_decl.h rename to emul/z80/opcodes_decl.h diff --git a/emul/opcodes_impl.c b/emul/z80/opcodes_impl.c similarity index 100% rename from emul/opcodes_impl.c rename to emul/z80/opcodes_impl.c diff --git a/emul/opcodes_table.h b/emul/z80/opcodes_table.h similarity index 100% rename from emul/opcodes_table.h rename to emul/z80/opcodes_table.h diff --git a/emul/rc2014/.gitignore b/emul/z80/rc2014/.gitignore similarity index 100% rename from emul/rc2014/.gitignore rename to emul/z80/rc2014/.gitignore diff --git a/emul/rc2014/Makefile b/emul/z80/rc2014/Makefile similarity index 100% rename from emul/rc2014/Makefile rename to emul/z80/rc2014/Makefile diff --git a/emul/rc2014/README.md b/emul/z80/rc2014/README.md similarity index 100% rename from emul/rc2014/README.md rename to emul/z80/rc2014/README.md diff --git a/emul/rc2014/acia.c b/emul/z80/rc2014/acia.c similarity index 100% rename from emul/rc2014/acia.c rename to emul/z80/rc2014/acia.c diff --git a/emul/rc2014/acia.h b/emul/z80/rc2014/acia.h similarity index 100% rename from emul/rc2014/acia.h rename to emul/z80/rc2014/acia.h diff --git a/emul/rc2014/classic.c b/emul/z80/rc2014/classic.c similarity index 100% rename from emul/rc2014/classic.c rename to emul/z80/rc2014/classic.c diff --git a/emul/rc2014/sdc.c b/emul/z80/rc2014/sdc.c similarity index 100% rename from emul/rc2014/sdc.c rename to emul/z80/rc2014/sdc.c diff --git a/emul/rc2014/sdc.h b/emul/z80/rc2014/sdc.h similarity index 100% rename from emul/rc2014/sdc.h rename to emul/z80/rc2014/sdc.h diff --git a/emul/rc2014/sio.c b/emul/z80/rc2014/sio.c similarity index 100% rename from emul/rc2014/sio.c rename to emul/z80/rc2014/sio.c diff --git a/emul/rc2014/sio.h b/emul/z80/rc2014/sio.h similarity index 100% rename from emul/rc2014/sio.h rename to emul/z80/rc2014/sio.h diff --git a/emul/sms/.gitignore b/emul/z80/sms/.gitignore similarity index 100% rename from emul/sms/.gitignore rename to emul/z80/sms/.gitignore diff --git a/emul/sms/Makefile b/emul/z80/sms/Makefile similarity index 100% rename from emul/sms/Makefile rename to emul/z80/sms/Makefile diff --git a/emul/sms/README.md b/emul/z80/sms/README.md similarity index 100% rename from emul/sms/README.md rename to emul/z80/sms/README.md diff --git a/emul/sms/kbd.c b/emul/z80/sms/kbd.c similarity index 100% rename from emul/sms/kbd.c rename to emul/z80/sms/kbd.c diff --git a/emul/sms/kbd.h b/emul/z80/sms/kbd.h similarity index 100% rename from emul/sms/kbd.h rename to emul/z80/sms/kbd.h diff --git a/emul/sms/pad.c b/emul/z80/sms/pad.c similarity index 100% rename from emul/sms/pad.c rename to emul/z80/sms/pad.c diff --git a/emul/sms/pad.h b/emul/z80/sms/pad.h similarity index 100% rename from emul/sms/pad.h rename to emul/z80/sms/pad.h diff --git a/emul/sms/port.c b/emul/z80/sms/port.c similarity index 100% rename from emul/sms/port.c rename to emul/z80/sms/port.c diff --git a/emul/sms/port.h b/emul/z80/sms/port.h similarity index 100% rename from emul/sms/port.h rename to emul/z80/sms/port.h diff --git a/emul/sms/sms.c b/emul/z80/sms/sms.c similarity index 100% rename from emul/sms/sms.c rename to emul/z80/sms/sms.c diff --git a/emul/sms/vdp.c b/emul/z80/sms/vdp.c similarity index 100% rename from emul/sms/vdp.c rename to emul/z80/sms/vdp.c diff --git a/emul/sms/vdp.h b/emul/z80/sms/vdp.h similarity index 100% rename from emul/sms/vdp.h rename to emul/z80/sms/vdp.h diff --git a/emul/ti/.gitignore b/emul/z80/ti/.gitignore similarity index 100% rename from emul/ti/.gitignore rename to emul/z80/ti/.gitignore diff --git a/emul/ti/Makefile b/emul/z80/ti/Makefile similarity index 100% rename from emul/ti/Makefile rename to emul/z80/ti/Makefile diff --git a/emul/ti/README.md b/emul/z80/ti/README.md similarity index 100% rename from emul/ti/README.md rename to emul/z80/ti/README.md diff --git a/emul/ti/kbd.c b/emul/z80/ti/kbd.c similarity index 100% rename from emul/ti/kbd.c rename to emul/z80/ti/kbd.c diff --git a/emul/ti/kbd.h b/emul/z80/ti/kbd.h similarity index 100% rename from emul/ti/kbd.h rename to emul/z80/ti/kbd.h diff --git a/emul/ti/t6a04.c b/emul/z80/ti/t6a04.c similarity index 100% rename from emul/ti/t6a04.c rename to emul/z80/ti/t6a04.c diff --git a/emul/ti/t6a04.h b/emul/z80/ti/t6a04.h similarity index 100% rename from emul/ti/t6a04.h rename to emul/z80/ti/t6a04.h diff --git a/emul/ti/ti84.c b/emul/z80/ti/ti84.c similarity index 100% rename from emul/ti/ti84.c rename to emul/z80/ti/ti84.c diff --git a/emul/xcomp.fs b/emul/z80/xcomp.fs similarity index 100% rename from emul/xcomp.fs rename to emul/z80/xcomp.fs diff --git a/emul/z80.c b/emul/z80/z80.c similarity index 100% rename from emul/z80.c rename to emul/z80/z80.c diff --git a/emul/z80.h b/emul/z80/z80.h similarity index 100% rename from emul/z80.h rename to emul/z80/z80.h diff --git a/recipes/rc2014/Makefile b/recipes/rc2014/Makefile index b272ee0..b440803 100644 --- a/recipes/rc2014/Makefile +++ b/recipes/rc2014/Makefile @@ -1,7 +1,7 @@ TARGET = os.bin BASE = ../.. CDIR = $(BASE)/cvm -EDIR = $(BASE)/emul +EDIR = $(BASE)/emul/z80 STAGE = $(CDIR)/stage BLKPACK = $(BASE)/tools/blkpack EMUL = $(EDIR)/rc2014/classic diff --git a/recipes/sms/Makefile b/recipes/sms/Makefile index 26b65fe..2db8925 100644 --- a/recipes/sms/Makefile +++ b/recipes/sms/Makefile @@ -3,7 +3,7 @@ BASE = ../.. STAGE = $(BASE)/cvm/stage BLKPACK = $(BASE)/tools/blkpack SMSROM = $(BASE)/tools/smsrom -EMUL = $(BASE)/emul/sms/sms +EMUL = $(BASE)/emul/z80/sms/sms .PHONY: all all: $(TARGET) diff --git a/recipes/ti84/Makefile b/recipes/ti84/Makefile index be8aa0d..20ef5a4 100644 --- a/recipes/ti84/Makefile +++ b/recipes/ti84/Makefile @@ -3,7 +3,7 @@ BASE = ../.. CDIR = $(BASE)/cvm STAGE = $(CDIR)/stage BLKPACK = $(BASE)/tools/blkpack -EMUL = $(BASE)/emul/ti/ti84 +EMUL = $(BASE)/emul/z80/ti/ti84 MKTIUPGRADE = mktiupgrade .PHONY: all diff --git a/tests/Makefile b/tests/Makefile index f18c348..786924c 100644 --- a/tests/Makefile +++ b/tests/Makefile @@ -1,6 +1,6 @@ .PHONY: run run: $(MAKE) -C ../cvm all - $(MAKE) -C ../emul all + $(MAKE) -C ../emul/z80 all cd all && ./runtests.sh cd z80 && ./runtests.sh diff --git a/tests/all/runtests.sh b/tests/all/runtests.sh index 92943dd..f329b95 100755 --- a/tests/all/runtests.sh +++ b/tests/all/runtests.sh @@ -2,7 +2,7 @@ BASE=../.. CVM="${BASE}/cvm/forth" -Z80="${BASE}/emul/forth" +Z80="${BASE}/emul/z80/forth" TMP=$(mktemp) chk() { diff --git a/tests/z80/runtests.sh b/tests/z80/runtests.sh index ed5e469..4fdcd80 100755 --- a/tests/z80/runtests.sh +++ b/tests/z80/runtests.sh @@ -1,7 +1,7 @@ #!/bin/sh -e BASE=../.. -EXEC="${BASE}/emul/forth" +EXEC="${BASE}/emul/z80/forth" TMP=$(mktemp) chk() {